Wed, 25 Feb 2015 12:33:43 +0100 roland 8073796: assert(check_obj_alignment(result)) failed: address not aligned: ...
(0) -10000 -3000 -1000 -300 -100 -30 -10 -1 +1 +10 +30 +100 +300 +1000 +3000 +10000 tip