hotspot/src/share/vm/opto/split_if.cpp
changeset 34185 ee71c590a456
parent 33589 7cbd1b2c139b
parent 34174 4db2fb26dc49
child 35759 85d3873f87b4
equal deleted inserted replaced
33813:4f376e851453 34185:ee71c590a456
   388 
   388 
   389 //------------------------------do_split_if------------------------------------
   389 //------------------------------do_split_if------------------------------------
   390 // Found an If getting its condition-code input from a Phi in the same block.
   390 // Found an If getting its condition-code input from a Phi in the same block.
   391 // Split thru the Region.
   391 // Split thru the Region.
   392 void PhaseIdealLoop::do_split_if( Node *iff ) {
   392 void PhaseIdealLoop::do_split_if( Node *iff ) {
   393 #ifndef PRODUCT
   393   if (PrintOpto && VerifyLoopOptimizations) {
   394   if( PrintOpto && VerifyLoopOptimizations )
       
   395     tty->print_cr("Split-if");
   394     tty->print_cr("Split-if");
       
   395   }
   396   if (TraceLoopOpts) {
   396   if (TraceLoopOpts) {
   397     tty->print_cr("SplitIf");
   397     tty->print_cr("SplitIf");
   398   }
   398   }
   399 #endif
   399 
   400   C->set_major_progress();
   400   C->set_major_progress();
   401   Node *region = iff->in(0);
   401   Node *region = iff->in(0);
   402   Node *region_dom = idom(region);
   402   Node *region_dom = idom(region);
   403 
   403 
   404   // We are going to clone this test (and the control flow with it) up through
   404   // We are going to clone this test (and the control flow with it) up through