hotspot/src/share/vm/gc/g1/g1CollectorPolicy.cpp
changeset 33105 294e48b4f704
parent 32745 d238cd8170fc
child 33107 77bf0d2069a3
equal deleted inserted replaced
33104:a7c0f60a1294 33105:294e48b4f704
   811   // to the GC we're about to start. so, no point is calculating this
   811   // to the GC we're about to start. so, no point is calculating this
   812   // every time we calculate / recalculate the target young length.
   812   // every time we calculate / recalculate the target young length.
   813   update_survivors_policy();
   813   update_survivors_policy();
   814 
   814 
   815   assert(_g1->used() == _g1->recalculate_used(),
   815   assert(_g1->used() == _g1->recalculate_used(),
   816          err_msg("sanity, used: " SIZE_FORMAT " recalculate_used: " SIZE_FORMAT,
   816          "sanity, used: " SIZE_FORMAT " recalculate_used: " SIZE_FORMAT,
   817                  _g1->used(), _g1->recalculate_used()));
   817          _g1->used(), _g1->recalculate_used());
   818 
   818 
   819   double s_w_t_ms = (start_time_sec - _stop_world_start) * 1000.0;
   819   double s_w_t_ms = (start_time_sec - _stop_world_start) * 1000.0;
   820   _trace_young_gen_time_data.record_start_collection(s_w_t_ms);
   820   _trace_young_gen_time_data.record_start_collection(s_w_t_ms);
   821   _stop_world_start = 0.0;
   821   _stop_world_start = 0.0;
   822 
   822 
  1874 
  1874 
  1875   YoungList* young_list = _g1->young_list();
  1875   YoungList* young_list = _g1->young_list();
  1876   finalize_incremental_cset_building();
  1876   finalize_incremental_cset_building();
  1877 
  1877 
  1878   guarantee(target_pause_time_ms > 0.0,
  1878   guarantee(target_pause_time_ms > 0.0,
  1879             err_msg("target_pause_time_ms = %1.6lf should be positive",
  1879             "target_pause_time_ms = %1.6lf should be positive", target_pause_time_ms);
  1880                     target_pause_time_ms));
       
  1881   guarantee(_collection_set == NULL, "Precondition");
  1880   guarantee(_collection_set == NULL, "Precondition");
  1882 
  1881 
  1883   double base_time_ms = predict_base_elapsed_time_ms(_pending_cards);
  1882   double base_time_ms = predict_base_elapsed_time_ms(_pending_cards);
  1884   double time_remaining_ms = MAX2(target_pause_time_ms - base_time_ms, 0.0);
  1883   double time_remaining_ms = MAX2(target_pause_time_ms - base_time_ms, 0.0);
  1885 
  1884